У нас: 141825 рефератів
Щойно додані Реферати Тор 100
Скористайтеся пошуком, наприклад Реферат        Грубий пошук Точний пошук
Вхід в абонемент





ХАРКІВСЬКИЙ НАЦІОНАЛЬНИЙ

ХАРКІВСЬКИЙ НАЦІОНАЛЬНИЙ

УНІВЕРСИТЕТ РАДІОЕЛЕКТРОНІКИ

Цуканов Віталій Юрійович

УДК 681.325

МОДЕЛІ ФУНКЦІОНАЛЬНО – ОРІЄНТОВАНОГО

ПРОЦЕСОРА З ГНУЧКОЮ АРХІТЕКТУРОЮ

05.13.13 – Обчислювальні машини, системи та мережі

АВТОРЕФЕРАТ

дисертації на здобуття наукового ступеня

кандидата технічних наук

Харків – 2002Дисертацією є рукопис.

Робота виконана в Харківському національному університеті радіоелектроніки.

Науковий керівник – кандидат технічних наук, професор кафедри “Автоматизованного проектування обчислювальної техніки” Лобода Віталій Гаврилович, Харківський національний університет радіоелектроніки.

Офіційні опоненти – доктор технічних наук, професор

Горбенко Іван Дмитрович,

Харківський національний університет радіоелектроніки,

зав. кафедрою “Безпеки інформаційних технологій”

Кандидат технічних наук, професор

Соколов Сергій Олексійович

Харківський військовий університет,

зав. кафедрою “Обчислювальних систем та мереж”

Провідна організація – Інститут проблем моделювання в енергетиці НАН України (м. Київ).

Захист відбудеться " 12 " червня 2002 р. о 13:30 годині на засіданні спеціалізованої вченої ради Д .052.01 у Харківському національному університеті радіоелектроніки (61166, м. Харків, пр. Леніна, 14).

З дисертацією можна ознайомитися у бібліотеці Харківського національного університету радіоелектроніки (61166, м. Харків, пр. Леніна, 14).

Автореферат розісланий " 30 " квітня 2002 р

Вчений секретар

спеціалізованої ради В. І. Саєнко

ЗАГАЛЬНА ХАРАКТЕРИСТИКА РОБОТИ

Актуальність теми. На сучасному етапі розвитку обчислювальної техніки особлива увага приділяється проектуванню окремих найбільш важливих компонентів електронно-обчислювальних машин на великих та надвеликих інтегральних схемах (ВІС і НВІС), а також функціонально-оріентованих процесорів (ФОП) для вирішення задач з підвищеною швидкодією. Більшість ведучих фірм в останні роки прийняло концепцію RISC – процесорів для виробництва комерційних комп'ютерів з RISC – ядром. Однак, усі численні алгоритми, отримані в результаті людської діяльності неможливо реалізувати найкращим чином на процесорі з обмеженим набором однотактних команд. Спеціалізовані функції процесорів CISC і RISC архітектури виконуються повільно і неефективно. Сучасні спеціалізовані процесори (СП) і ФОП мають обмежену систему команд, не мають можливості перебудовуватися, мають строго встановлену (незмінну) архітектуру. Тому робляться спроби створити щось середнє між CISC і RISC для підвищення швидкодії - MISC-архітектура. Концепція побудови MISC – структури далека від досконалості. У вітчизняній і закордонній літературі, інформація про створення таких процесорів подається у виді рекламних повідомлень.

Новітні розробки фірм, що спеціалізуються на виробництві мікропроцесорів RISC— і CISC— архітектур показали, що жоден зі створених мікропроцесорів тієї чи іншої архітектури не є ідеальним, у кожного напрямку є свої переваги і недоліки.

У зв'язку з прискореним розвитком спеціалізованих комп'ютерних систем і виникла ідея продовжити дослідження, розпочаті у 90 – х роках по структурах, що представляють найкращі розробки RISC і CISC – архітектур.

На підставі вище викладеного можна зробити висновок про актуальність та перспективність напрямку розвитку MISC - процесорів, тому що такі архітектури можуть бути використані як у системах загального призначення, так і в ФОП.

Зв'язок роботи з науковими програмами, планами, темами. Дисертаційна робота виконана відповідно до плану науково-технічних робіт ХНУРЭ в рамках держбюджетної теми 522 “Розробка учбово-методичного забезпечення та програмно-апаратних засобів для нових учбових дисциплін з комп'ютерних наук та комп'ютерної інженерії” (номер держреєстрації 0197U012176). В рамках теми 102 “Розробка основ нових інформаційних технологій в автоматизованому проектуванні, діагностиці засобів радіоелектронної апаратури та обчислювальної техніки” виконана розробка програмно-алгоритмічного забезпечення функціонально-оріентованих процесорів (номер держреєстрації 0100U003417).

Мета і задачі дослідження. Метою даної дисертаційної роботи є розробка програмно-алгоритмічного забезпечення ФОП, який має динамічну структуру, для вбудованих систем при вирішенні задач з підвищеною швидкодією та оптимізованими апаратурними витратами.

Основні задачі дослідження у відповідності до поставленої мети полягають у наступному.

1.Аналіз задач, алгоритмів їх виконання, методів проектування ФОП, архітектур процесорів з метою формулювання вимог і визначення основних напрямків удосконалення характеристик ФОП;

2.Розробка загальної алгоритмічної і структурно – функціональної бази ФОП з відкритою та гнучкою архітектурою для підвищення швидкодії і зменшення апаратурних витрат;

3.Розробка динамічних структур ФОП і оцінка їхніх основних характеристик;

4.Дослідження моделей ФОП на алгоритмічному та структурно-функціональному рівнях з застосуванням сучасних інструментальних засобів моделювання (програмна емуляція, мережі Петрі, VHDL - моделі) для верифікації обраної реалізації;

5.Розробка експериментального компілятора, що працює за принципом "склеїв - виконав", що дозволяв би не тільки транслювати оператори мови високого рівня в мікронакази, але і керувати процедурою переходу від структури до структури, аналізуючи ділянки глобальної мікропрограми по ступені відповідності структурі.

Об'єкт дослідження – клас функціонально-орієнтованих процесорів для вбудованих систем.

Предмет дослідження – аналіз архітектури ФОП з метою оптимізації показників швидкодії й апаратурних витрат.

Методи дослідження. В процесі досліджень застосовувалися методи системного проектування та методи досліджень, в яких використовувалися теорії алгоритмів, моделювання, дискретної математики, цифрових автоматів, програмування.

Наукова новизна результатів дисертаційної роботи:

·

вперше розроблена структура ФОП, адаптованого до даних і алгоритмів розв'язання задач, яка відрізняється від існуючих тим, що дозволяє синтезувати ФОП зі структурою, що змінюється в процесі роботи як на рівні всього пристрою, так і на рівні операційного автомата, що забезпечує підвищення швидкодії ФОП;

·

удосконалено графові моделі ФОП для побудови дерева функцій ФОП та отримання досконалих структурно-функціональних схем ФОП, що забезпечує відсутність апаратної надмірності ФОП;

· вперше для динамічного ФОП синтезовані операторні моделі, що реалізують функції вбудованих систем і проведено вибір блоків їх операторних компонентів для отримання структурно-функціональних схем ФОП, що дозволяє зменшити апаратурні витрати для реалізації ФОП;

· вперше синтезована модель динамічного ФОП, яка дозволяє одержувати структури з різними порядками функціонування компонентів, синтезовані програмні і VHDL–моделі ФОП, необхідні для перевірки працездатності, що дозволяє оптимізувати показники швидкодії та апаратурної реалізації ФОП.

Практичне значення отриманих результатів.

Практичне значення полягає в розробці ФОП, який має покращенні показники в порівнянні з раніше відомими, який може бути використаний у бортових і вбудованих системах при вирішенні задач, де допускається вільне розрізування глобальних мікропрограм, що дозволяє виконувати задачі керування та обчислення з підвищеною швидкодією.

Запропоновані узагальнена схема та алгоритм роботи ФОП, а також розроблені моделі (графові, програмні, функціональні, Е - моделі) дозволяють вирішувати задачі топологічного проектування замовлених ВІС та проводити діагностику розроблених функціональних і структурних схем процесора, з метою оптимізації показників процесорів, побудованих на принципі мікропрограмування, що дозволить синтезувати нові спеціалізовані обчислювачі з заданими характеристиками.

Розроблений оптимізуючий компілятор для ФОП, на відміну від існуючих, дозволяє не тільки транслювати оператори мов високого рівня в мікрокоди, але і розрізає глобальну мікропрограму на ділянки, що швидко виконуються на відповідних структурах ФОП, забезпечує підвищення швидкодії на програмному рівні.

Практичне значення роботи підтверджується актами впровадження на підприємстві “Харьковенергоремонт” при проектуванні, верифікації та діагностиці цифрових пристроїв на базі ПЛІС, а також у навчальному процесі Харківського Військового університету.

Особистий внесок здобувача в роботах, виконаних у співавторстві, полягає в наступному: у роботі [1,2] автором зроблена оцінка ефективності мікропрограмувальних структур і методів обробки мікропрограм з метою визначення структури для конкретної мікропрограми, у роботі [2] – запропонована модель мікропроцесора з можливістю перебудови, що дозволяє одержувати структури з різними порядками функціонування; [3] - розробка загальної алгоритмічної абстрактної моделі; [4] - проектування структури та алгоритму роботи процесора з відкритою архітектурою; [5] – дослідження можливості поділу мікропрограми на фрагменти; [6] – на фрагментарному рівні приведені структурні і функціональні моделі ФОП з гнучкою архітектурою (ФОП з ГА); [7] – розглянуті питання розрізу графів, що представляють мікропрограму, досліджені питання роботи транслятора; [8] – розробка і тестування програмних моделей, а також приклади проектування функціонально-орієнтованих і спеціалізованих процесорів; [9] - проектування хронооптимізуючого компілятора для ФОП з ГА, [10] - розробка програмних і графових моделей для ФОП з ГА.

Апробація результатів дисертації. Обґрунтованість наукових результатів представлена теоретичними висновками, виступами на наукових семінарах, конференціях і нарадах із залученням провідних спеціалістів в області проектування обчислювальної техніки, публікаціями в наукових журналах і тематичних збірниках.

Апробація результатів дисертації проводилася на:

- 2-му Міжнародному молодіжному форумі "Електроніка і молодь у XXI столітті" (Харків, ХДТУРЕ, 1998),

·

3-й Міжнародної конференції "Теорія і техніка передачі, прийому й обробки інформації" (Туапсе, 1997),

· 3-му Міжнародному молодіжному форумі "Радіоелектроніка і молодь у XXI столітті" (Харків, ХДТУРЭ, 1999),

· 4-му Міжнародному молодіжному форумі "Радіоелектроніка і молодь у XXI столітті" (Харків, ХДТУРЭ, 2000).

Публікації. Основні положення і результати дисертації опубліковані в 10 друкованих наукових працях: 5 статей у наукових журналах, 4 тези доповідей і навчальному посібнику для студентів Вузів радіотехнічних спеціальностей.

Структура дисертації. Дисертація складається з вступу, 5 – ти розділів, висновків, списку літератури (147 найменувань), додатків. Повний обсяг – 169 с., ілюстрацій – 20, таблиць – 4, додатків– 5.

ОСНОВНИЙ ЗМІСТ РОБОТИ

У вступі коротко розкрито стан наукової задачі, обгрунтована актуальність задачі досліджень, сформульована мета, визначено основні завдання, показана наукова новизна та практична цінність одержаних результатів.

В першому розділі проведено аналіз задач, базових алгоритмів і структурно – архітектурної організації існуючих обчислювачів і сформульовано основну мету роботи. На основі аналізу вітчизняних і закордонних джерел розглядаються загальні питання проблемної та функціональної оріентації в проектуванні обчислювальної техніки. Розглянуті основні напрямки розвитку архітектур, в окремості розвиток RISC та CISC - архітектур і їх похідних.

Проведено аналіз кожної існуючої архітектури, розглянуто їх переваги та недоліки.

Розглянуті методи дослідження ФОП. Обґрунтована перевага використання функціонально – структурного підходу до дослідження ФОП. ФОП на певному рівні ієрархії можна розглядати як систему, що складається з безлічі зв'язаних між собою елементів (вузлів). Тому при системному проектуванні ФОП доцільно користатися функціонально – структурним підходом. Основні етапи синтезу орієнтовані на формування структури системи з максимальною відповідністю елементів системи реалізованим функціям. Створена структура повинна являти собою раціональне сполучення багатофункціональних і спеціалізованих елементів, причому перевага віддається однотипним елементам при найбільш повному використанні їхніх функціональних можливостей.

Логіко-комбінаторна методика синтезу структури складних об'єктів дозволяє знаходити необхідні раціональні зв'язки між елементами структури з урахуванням ввідних обмежень. Передбачається, що множина альтернативних варіантів структур представляється у виді альтернативного “И – ЧИ” графа з наступним використанням мінімізуючих спеціальних скобкових форм булевої алгебри з метою економії ресурсів ЕОМ.

Розглянуті засоби перевірки працездатності структур ФОП: VHDL – моделювання та К – значне моделювання. Методи моделювання процесорів на різних рівнях деталізації.

Визначено мету роботи, шляхи удосконалення характеристик ФОП, сформульовано основні задачі дослідження.

В другому розділі пропонується алгоритмічна концепція організації функціонування ФОП з гнучкою архітектурою (ФОП з ГА).

Концепція функціонування базової структури процесора полягає в наступному: кожна мікропрограма виду МПi О {МП}m найбільш ефективно реалізується деякою структурою Si О {S}. Кожна j -а операція i-ої мікропрограми МОij О {МО}i вимагає для своєї реалізації визначений набір структурних елементів в операційних і управляючих автоматах (ОА, УА). Тому організувати обчислювальний процес можна маючи надлишкове число необхідних вузлів у максимальній структурі Smax О {S} і надлишкове число елементів в ОАmax О {ОА} і УАmax О {УА} цієї структури.

Граф взаємодії (взаємовпливу) апаратно-програмних засобів процесора зображений на рис. 1. Вершини графа S, ОА, УА у свою чергу можна представити у виді графів проходження сигналів (графів затримок).

Множина елементів структури поєднується в структуру під впливом ініціативних сигналів компілятора (рис. 2).

Уся безліч мікропрограм може бути оброблена деякими структурами, що представляють собою набір операційних і управляючих автоматів. Причому, різні структури можуть обробляти ту саму мікропрограму за різний проміжок часу.

З безлічі існуючих мікропроцесорних {S}m структур, необхідно вибрати ті, котрі більш ефективно використовуються для реалізації фрагментів глобальної мікропрограми МПi відповідно до обраного чи заданого критерію оптимізації.

На рис. 3 представлений алгоритм перебудови базової структури Smax мікропрограмувального процесора для “швидкої” реалізації заданої мікропрограми.

Задатчиком обчислювального процесу тут є ідентифікатор мікроалгоритму (мікропрограма).

Оптимізація структури Si полягає у виборі з безлічі можливих структурних рішень Si О {S}m для забезпечення максимальної продуктивності.

При практичній реалізації ФОП на початковому етапі важко синтезувати його принципову схему, без етапу системного проектування з використанням функціональних модулів. Оскільки такі модулі дозволяють реалізувати безліч {S}m на елементах {s}g, де в загальному випадку i № g, а в комерційному аспекті i > g, то оптимальну структуру Si можна вибрати за умовою оптимального виду.

Нехай Si підмножина структурних елементів (Si О S), що характеризує якийсь i- й варіант структури ФОП; П(Si) – продуктивність ФОП зі структурою Si; N(Si) – апаратурні витрати на реалізацію у ФОП структури Si . Тоді по мінімаксному критерію оптимальною структурою Sопт є деяка структура , що задовольняє умові оптимальності виду:

, (1)

де - цільова функція (функція ефективності структури), при обмеженні N(Si*) Ј Nmax , де Nmax – найбільша апаратурна складність ФОП, що може бути забезпечена при даному рівні технології.

У реальних умовах проектування множина S містить обмежене число елементів s, тому пошук Sопт відповідно до умови (1) може бути здійснений методом повного перебору.

Формування структурно-функціональної організації від загального представлення до вибору раціональної структури виконувалося згідно з таким алгоритмом синтезу ФОП:

{BFi}И{AFi}={Fq}Ю{MF}Ю{O}Ю{Ф}Ю{K} (2)

де {BFi} ѕ множина основних функцій ФОП,{AFi} ѕ множина додаткових функцій ФОП, {MF} - дерево функцій ФОП, {O} - операторна модель ФОП, {Ф} - функціональна модель ФОП, {K} - конструктивна модель ФОП.

На основі проведених досліджень по функціях ФОП для вбудованих систем описується структура ФОП з ГА.

Пропонується структурна організація ФОП, що спрощено являє собою 3 канали, з можливістю перенапрямку потоків інформації в залежності від типу мікропрограми: в одному каналі буде вироблятися швидке виконання стандартних команд (CISC 1), в іншому – виконання загальних команд, що відповідають прикладним програмам (CISC 2). Нарешті, 3-й канал (RISC) реалізує глобальні мікропрограми, що і є предметом подальшого розгляду.

Множина функцій { } i – го рівня розділені на підмножини { } – обробки, { } – збереження, { } – керування, { } – обміну.

При цьому,

{ } = { } И { } И { } И { }, (3)

N = j + k + n + m, де і – номер рівня декомпозиції;

j, k, n, m – розмірності виділених підмножин.

Згідно з побудованим деревом функцій (п'ять рівнів деталізації) були синтезовані операторні моделі. Загальна операторна модель на рівні функцій системи (ФС) має вигляд, представлений рис. 4.

Позначки +, IО, ТО на рисунку позначають відповідно колекторний, інформаційний і трансляційний обмін.

На базі операторних моделей рівня функціональних задач (ФЗ), функцій системи, функцій пристрою (ФП) обґрунтовується доцільність декомпозиції структури процесора на двоканальну схему. Пропонується один із варіантів такої декомпозиції.

Розглянуті базові конфігурації дозволили призначити множину різновидів структур ФОП. На окремому прикладі показано, що довільний макет мікроалгоритму може раціонально адаптуватися до структури ФОП.

В третьому розділі у розвиток викладеної ідеї, пропонуються структурно-функціональні та технічні моделі ФОП з ГА зі структурою, що розвивається, і дворівневим настроюванням на роботу (рис.5).

Для цього спочатку на підставі отриманої операторної моделі виконуємо структурно-функціональне відображення:

, (4)

де - закон відображення ( , ), продиктований операторною моделлю; l – визначає рівень деталізації в операторної моделі; j – кількість функцій на заданому l рівні операторної моделі; - елементарне статичне відображення, ; - елемент функції, - елемент структури.

Для синтезу статичного відображення (враховуючий тільки кінцевий результат) використали:

, де - закон статичного відображення.

У результаті статичного структурно-функціонального відображення одержимо загальну структуру ФОП із гнучкою архітектурою(див. рис.5).

В роботі приведено функціональні і структурні схеми ФОП з ГА. Розглянуті функції кожного з блоків у функціональній схемі. Дані схеми є апаратною реалізацією алгоритмів, приведених у другому розділі.

ФОП з ГА реалізує концепцію MISC- архітектури, яка являє собою збалансовану систему CISC – RІSС.

Логічна структура ФОП з ГА складається з двох частин - основної (host) RISC і керуючої пам'яті (наприклад, Flash). Host - процесор виконує кілька десятків простих команд, а інші команди, що не належать до числа простих, перетворяться на адресу відповідної мікропрограми Flash. Host частина — однорівневий RISC - процесор із глобальним мікропрограмуванням задачі (після компіляції команд високого рівня), а система (Host + Flash) — дворівневий CISC процесор із програмуванням (шляхом "склеювання" готових мікропрограм з Flash - пам'яті).

Host - частина складається з керуючого автомата з гнучкою логікою (RISC область оперативної пам'яті і КАМК1 - контролер адресації мікрокоманд) і операційного автомата, загального для системи.

CISC частина включає дві послідовні ступені, розділені диспетчером, так, що після диспетчера утвориться дві рівнобіжні галузі останньої ступені.

Складні команди розміщаються в CISC області оперативної пам'яті і реалізуються другим автоматом із гнучкою логікою (КАМК2 і Flash - пам'ять). При цьому код операції КО команди запускає відповідну мікропрограму в Flash - пам'яті. Диспетчер може включити в роботу рівнобіжну галузь, що складається з контролера запуску цифрових автоматів (КЗЦА) зі схемною логікою для швидкого виконання стандартних функцій. CISC частина одержує вхідні адреси від host частини (RISC). Кешування (cache) використовується для підвищення швидкодії.

При проектуванні використовується принцип перепрограмованості логічної структури для настроювання системи на визначену задачу.

Програмованість архітектури виявляється у виборі сукупності операційних і керуючих автоматів, зв'язаних між собою однотипними зв'язками, що перепрограмуються (виробляється вибір з існуючих компонентів) перед рішенням задачі, заданої прикладним алгоритмом. Така структура обчислювальної системи повинна містити розподілену оперативну пам'ять (для запобігання конфліктів і втрати часу), комутаційну структуру.

Програмувальна архітектура ФОП з ГА на основі набору мікрооперацій може працювати з мовами високого рівня.

Програмування структури ФОП з ГА на виконання команди (чи макрооперації) здійснюється за рахунок перебудови внутрішніх каналів процесора і використання внутрішньої комутації.

Завантаження оперативної пам'яті (по областях) відповідають програмуванню процедур, а настроювання каналу "КАМК2-операційний автомат" відповідає програмуванню структури.

Отже, для побудови структурного емулятора архітектури MISC, зокрема host - ядра, необхідно використовувати разрядно-модульні секції мікропроцесорних наборів.

В роботі синтезована технічна модель на базі структурно-функціональної схеми ФОП з ГА. Для цього, на підставі отриманої структурно-функціональної моделі виконуємо відображення:

, (5)

де a- закон відображення.

Визначимо закон відображення a у такий спосіб.

Як елементну базу для створення ФОП з ГА використовувалися секційні мікропроцесорні комплекти ВІС, що включають ВІС процесорних секцій малої розрядності, блок мікропрограмного керування, пам'яті, інтерфейсів. Такий комплект дуже гнучкий з погляду утворення мікропроцесорних секцій потрібної розрядності (кратної чотирьом).

У той же час, не маючи власних наборів систем команд МПК ВІС типу К1804 вимагають для свого використання витрат на розробку мікропрограми роботи модуля мікропрограмного керування.

У результаті такого підходу мікропрограмне керування забезпечує зміна системи команд (додавання нових, видалення команд із базової системи команд).

Аналіз функціональних можливостей мікропроцесорних секцій доцільно проводити з позиції їхнього представлення у виді абстрактних автоматів.

Умови універсальності структури будь-якої мікропроцесорної секції повинні мати такий вигляд:

Умова 1: xi 2; yi 2; Ql 2 (6)

де xi - операнд, прийнятий по i - тому каналі зв'язку; yj -вихідний результат, відзначений на j - тім каналі зв'язку; Ql - безліч станів секції (регістрів).

Умова 2: Q(l+1) = S1(Ql,xi,x0);

Q(l+1) = S2(Q1,Q2,x0);

Q(l+1) = S3(x1,x2,x0); (7)

yj = l1(Ql,xi,x0);

yj = l2(Q1,Q2,x0);

yi = l3(x1,x2,x0),

де x0 - буква керуючого слова, що надходить по нульовому каналі; Q(l+1) - функція переходів, що визначає виконання деякої операції в секції.

Умова 3: Повинні виконуватися мікрооперації алгебраїчного додавання, інкремента, зсуву (усі види), кон'юнкції, диз'юнкції.

Умова 4: Такі мікрооперації повинні виконуватися над вмістом будь-яких джерел інформації відповідно до повного графа комутації dз.

Якщо виконуються всі чотири умови, то К1 = К2 = К3 = К4 = 1. Тоді структурна повнота (ступінь універсальності) визначається як:

(8)

При невиконанні однієї з умов відповідний коефіцієнт Кi зменшується,

тоді Кy < 1.

Таким чином, структура секції операційного автомата повинна містити блоки виконання операцій, комутації, внутрішної пам'яті, розширення розрядності, формування внутрішнього робочого циклу.

Четвертий розділ присвячений програмному моделюванню ФОП з ГА на рівні ВІС та моделюванню Е – сітками Петрі. Таким чином, в роботі пропонується не тільки нова структура процесора, але і методи ії дослідження - модифіковані Е - сітки Петрі. Проведено дослідження роботи типового Pentium, використовуючи емуляцію на САПР Xilinx і ФОП з ГА з метою порівняння по швидкодії обох структур.

Зроблено дослідження структури та алгоритму роботи ФОП з ГА за допомогою програмних моделей, що дозволило модифікувати його структуру, а також організувати рівнобіжну роботу каналів процесора з метою забезпечення підвищеної надійності його функціонування, збільшення швидкодії й адаптації до створення на його основі спеціалізованих систем зі структурою, що змінюється в процесі роботи.

Програмна модель ФОП з ГА відбиває концепцію спільного проектування апаратних і програмних засобів. При такому підході модель програми і модель виконуючого її процесора поєднуються в єдину модель. Це дозволяє простежити за зміною архітектури ФОП під час виконання програми, що дозволяє оптимальним чином адаптувати їх один до одного, з метою одночасної реалізації як високої швидкості роботи, так і високої швидкості обчислень.

В п'ятому розділі розглядаються принципи роботи ефективного хронооптимізуючого компілятора для ФОП з ГА. Ціль створення такого компілятора: трансляція з мови високого рівня, оптимізація тимчасових характеристик глобальної мікропрограми, керування роботою каналів процесора, керування внутрішньою перебудовою процесора. Для програмування було обрано мову високого рівня С++, що дотепер залишається найбільш розповсюдженою мовою програмування.

Для аналізу оптимальної (раціональної) структури ФОП з ГА були виконані наступні дослідження:

·

розглянуто варіанти сегментації глобальної мікропрограми з метою локалізації помилок при аналізі і виборі оптимальної структури мікропрограмувального мікропроцесора;

· отримано правила визначення по зовнішньому вигляді виділеного фрагмента конкретної працездатної мікропрограмованої струкутри із набору наявних структур;

· зроблено оцінку кожної структури виходячи з характеристик даних апаратних засобів.

При створенні хронооптимізуючого компілятора для ФОП з ГА слід “закласти” в логіку компілятора знання структури мікропрограмувального мікропроцесора.

Логічна структура ФОП з ГА складається з двох частин: основна (RISC) частина і керуюча пам'ять (мікропрограмне керування). Основна частина Host — однорівневий RISC із глобальним мікропрограмуванням задачі, де виконується 40 - 50 простих однотактних команд. Якщо ж необхідно виконати команду, що не належить до числа простих, то використовується постійний запам'ятовуючий пристрій мікропрограмного керування. При відсутності блоку мікропрограмного керування процесор працює як чистий RISC. Задачі перемикання каналів у процесорі повинен контролювати MISC – компілятор. Крім того, деякі найважливіші методи оптимізації глобальної мікропрограми та питання вибору необхідної структури(з більш зручними, з точки зору швидкодії, характеристиками) для виконання фрагменту мікропрограми – теж обов'язкові функції компілятора, на відмінність від тих компіляторів, що використовуються в наш час.

Компілятор для такої структури повинний виконувати наступне:

·

Програма мовою високого рівня (МВР) — вихідний код перетвориться в об'єктний код. Любий компілятор з МВР для RISC-архітектури генерує мікрокод у прості команди. В хронооптимізуючому компіляторі проблема втрати часу на поетапне виконання стандартних функцій вирішена.

· Глобальна мікропрограма, отримана після першого етапу, проглядається за визначеними правилами.

· Виконання мікропрограми. Проста мікрокоманда обробляється простою однотактною командою процесора, або декількома з базової системи команд. Складна команда виконується за принципом “склеїв - виконав”.

Запропонований компілятор дозволяє збільшувати швидкодію виконання операцій за рахунок настроювання каналів процесора під мікропрограму. Компілятор виконує багато видів оптимізації як на рівні вихідного коду, так і на рівні машинного коду, знижуючи тим самим утрати часу, викликані переключенням між базовими структурами.

В додатках наведені програмні моделі базової структури ФОП з ГА, моделювання Е – сітками Петрі, результати VHDL - моделювання. Також приведені сітьові моделі перебудови арифметико-логічного пристрою та сітьові моделі перебудови RISC – каналу, фрагмент програмного коду компілятора, та деякі програмні засоби трасування шляхів проходження сигналу по структурі.

ВИСНОВКИ

У дисертації вирішена актуальна науково-технічна задача удосконалення алгоритмів роботи і структур функціонально-орієнтованих обчислювачів на базі MISC-архітектур, що дозволяють синтезувати ФОП з підвищеною швидкодією і мінімізованими апаратурними витратами стосовно до задач керування, розв'язуваними в убудованих системах.

Основні результати роботи:

1.Проведено аналіз задач, базових алгоритмів і структурно – архітектурної організації існуючих обчислювачів. Розглянуто методи дослідження функціонально – орієнтованих пристроїв(ФОП). Основні етапи синтезу орієнтовані на формування структури системи з максимальною відповідністю елементів системи реалізованим функціям. Сформована структура повинна являти собою раціональне з'єднання багатофункціональних і спеціалізованих елементів, причому перевага віддається однотипним елементам при найбільш повному використанні їхніх функціональних можливостей.

2.Синтезована модель мікропроцесора, що дозволяє одержувати структури з різними порядками функціонування компонентів.

3.Запропонована в роботі концепція і засоби побудови структури процесора (мікропроцесора) дозволяють одержати деяку проміжну структуру між CISC і RISC структурами (MISC). При цьому MISC – структура зберігає характерні властивості, властивим двом базовим структурам. Така структура дозволяє оперативно нарощувати систему команд шляхом уведення необхідних мікроалгоритмів (мікропрограм) і виконувати команди в динамічному обчислювальному середовищі.

4.Проведено дослідження операторні моделі ФОП. Пропонуються і досліджуються структурні і графові L – моделі процесора з архітектурою типу MISC для загального і спеціалізованого застосування, що дозволяє перевірити правильність обраного напрямку і порівняти з наявними аналогами.

5.Проведено трасування сигналів при реалізації безлічі типових операцій за допомогою спеціального логіко-комбінаторного алгоритму для мінімізації затримок у компонентах ФОП, що дозволяє підвищити швидкодію.

6.Розроблено і досліджені програмні моделі ФОП з ГА, що дозволяє проводити ефективне планування завантаження операційного автомата ФОП.

7.Проведено порівняльну оцінку по швидкодії кожної з працездатних станів операційного автомата, що перебудовуються. Розглядається також питання вибору структури для обробки мікропрограми в ході її виконання, що дозволяє знизити витрати часу на сканування і логічну обробку фрагмента мікропрограми.

8.Зроблено структурний синтез оптимальної (раціональної) структури ФОП з ГА. Для цього виконані такі дослідження: розглянуті варіанти сегментації глобальної мікропрограми з метою локалізації помилок при аналізі і виборі оптимальної структури ММП; отримані правила визначення по зовнішньому вигляді виділеного фрагмента конкретної працездатної структури ММП із набору наявних структур; зроблена оцінка кожної структури, виходячи з характеристик даних апаратних засобів.

9.У результаті виконання всіх етапів моделювання ФОП з ГА були сформульовані наступні загальні рекомендації: ФОП з ГА може бути використаного як центральний в ЕОМ загального призначення і як спеціалізований у складі обчислювальних систем із продуктивністю не гірше сучасних комерційних ВІС (НВІС) при очевидній простоті комплексування архітектури на готових схемах.

10. Запропонований хронооптимізуючий компілятор для ФОП дозволяє підвищити швидкодію процесора за рахунок ефективного планування виконання працездатними структурами фрагментів глобальної мікропрограми.

СПИСОК ПРАЦЬ ЗА ТЕМОЮ ДИСЕРТАЦІЇ

1.Лобода В.Г., Цуканов В.Ю. Программно-алгоритмическое обеспечение технологии проектирования микропроцессорных структур // Використання компютерних технологій у навчальному процесі. Тези доповідей НМК. – Харків: МОУ, ІЗМНМУ, ХДТУРЕ, 1997.– С.76.

2.Бережная М.А., Лобода В.Г., Цуканов В.Ю. К вопросу проектирования структуры процессора// Радиоэлектроника и информатика, 1998, № 2(3). С. 120-124.

3.Ельчанинов.Д.Б., Лобода В.Г., Цуканов В.Ю. Модели архитектуры MISC – процессора //Радиоэлектроника и информатика, 1999, №1(6).С.85-89.

4.Цуканов В.Ю. MISC – архитектура // Тезисы докладов 3 – го Международного молодёжного форума “Электроника и молодёжь в XXI веке ”. – Харьков: ХТУРЭ, 1999. С.88-92.

5.Цуканов В.Ю. Взаимная адаптация аппаратно-программных средств в процессоре спецназначения //Радиоэлектроника и информатика, 2000, №1(10). С. 59-63.

6.Цуканов В.Ю. Концепция и средства синтеза структуры MISC - процессора // Тези доповідей 2 – го Міжнародного молодіжного форума “Електроніка і молодь у XXI столітті ”. – Харків: ХТУРЭ, 1998.

7.Цуканов В.Ю. Элементы оптимизации глобального микроалгоритма для MISC – процессора // Тези доповідей 4 – го Міжнародного молодіжного форума “Електроніка і молодь у XXI столітті ”. – Харків: ХТУРЭ, 2000

8.Гулиус В.А., Лобода В.Г., Степанов В.П., Цуканов В.Ю. Методы автоматизированного проектирования специализированных микропроцессорных устройств: Учебное пособие. – Харьков: ХНУРЭ, 2001. – 228 с.

9.Цуканов В.Ю, Петросов Д.А. MISC - компилятор// АСУ и устройства автоматики. 2000. Вып. 112. С. 86-94.

10.Лобода В.Г., Цуканов В.Ю. Базовый специализированный процессор с открытой архитектурой // Вестник НТУ "ХПИ". 2000. Вып. 114. С. 95 – 101.

АНОТАЦІЯ

Цуканов В.Ю. Моделі функціонально – орієнтованого процесора з гнучкою архітектурою. – Рукопис.

Дисертація на здобуття вченого ступеня кандидата технічних наук за спеціальністю 05.13.13 – обчислювальні машини, системи та мережі. Харківський національний університет радіоелектроніки, Харків, 2002.

Дисертація присвячена концептуальній розробці алгоритмічного і програмного забезпечення ФОП, а також розробці структурних схем різного рівня на основі дерева функцій і операторних моделей.

На основі аналізу робіт, присвячених дослідженню різних архітектур процесорів запропонована алгоритмічна концепція ФОП, який змінює свою структуру при реалізації глобальних мікропрограм.

При цьому пропонуються загальні структури ФОП і алгоритм роботи загальної структури. Множина структурних елементів, що складають структуру ФОП підлягає перебору. Однак, оскільки комерційне число елементів обмежене, те це полегшує задачу компонування структури.

Показано, що формування раціональної структурно-функціональної організації залежить від множини основних і додаткових функцій.

Сформована загальна операторна модель на рівні функціональних задач дозволила перейти до функціональної моделі.

У роботі приведені етапи послідовного відображення операторної моделі на функціональну, а функціональної на технічну. При цьому приводиться закон відображення.

Для перевірки працездатності синтезованих моделей запропоновані наступні моделі ФОП: програмна модель на рівні корпусів обраних ВІС, мережні моделі структур; VHDL-моделі на рівні ВІС.

Запропонований хронооптимізуючий компілятор дозволяє підвищити швидкодію процесора за рахунок ефективного планування виконання працездатними структурами фрагментів глобальної мікропрограми.

Ключові слова: алгоритм, модель, процесор, компілятор, граф

АННОТАЦИЯ

Цуканов В.Ю. Модели функционально-ориентированного процессора с гибкой архитектурой. – Рукопись.

Диссертация на соискание учёной степени кандидата технических наук по специальности 05.13.13 – вычислительные машины, системы и сети. Харьковский национальный университет радиоэлектроники, Харьков, 2002.

Диссертация посвящена концептуальной разработке алгоритмического и программного обеспечения ФОП, а также разработке структурных схем разного уровня на основе дерева функций и операторных моделей.

На основе анализа работ, посвящённых исследованию различных архитектур процессоров предложена алгоритмическая концепция ФОП изменяющего свою структуру при реализации глобальных микропрограмм.

При этом предлагаются общие структуры ФОП и алгоритм работы общей структуры. Множество структурных элементов, составляющих структуру ФОП подлежит перебору. Однако, поскольку коммерческое число элементов ограничено, то это облегчает задачу компоновки структуры.

Показано, что формирование рациональной структурно-функциональной организации зависит от множества основных и дополнительных функций.

Сформированная общая операторная модель на уровне функциональных задач позволила перейти к функциональной модели.

В работе приведены этапы последовательного отображения операторной модели на функциональную, а функциональной на техническую. При этом приводится закон отображения.

Для проверки работоспособности синтезированных моделей предложены следующие модели ФОП: программная модель на уровне корпусов выбранных БИС, сетевые модели структур; VHDL-модели на уровне БИС.

Предложен хронооптимизирующий компилятор, который повышает быстродействие процессора за счет эффективного планирования выполнения структурами помеченных фрагментов глобальной микропрограммы.

Ключевые слова: алгоритм, модель, процессор, компилятор, граф

ABSTRACT

Tcukanov V.Y. Models of function-oriented processor with flexible architecture. –

А thesis to compete for a candidate's degree in technical sciences, speciality 05.13.13 – computers, systems and networks. – Kharkiv National University of Radioelectronics, Kharkiv, 2002.

The thesis is devoted conceptual development of algorithmic support and software of function-oriented processor (FOP) and also to development of block schemes of different levels on the base of functional tree and operational models.

On the base of the analysis of works, devoted to investigation of different architectures of processors, the algorithmic conception of FOP, changing its structure upon realization of global microprograms, is proposed.

At that general structures of FOP and work algorithm of general structure are proposed. A set of structural elements, making up a structure of FOP, has to be sorted out. However, commercial number of elements is limited. It makes a task of structure composition easier.

It was showed that forming of rational structural-function organization depends on the set of main and additional functions.

The formed general operational model of FOP has allowed turning to functional model at different levels .In the work stages of successive mapping of operational model onto functional model and functional model onto technical model are given. At that a law of mapping is given.

To check efficiency of the proposed models the following models of FOP are synthesized: program models, network model and VHDL model. The proposed models have allowed comparing work of FOP with work of standard Pentium.

A compiler, which allows realizing several types of optimization, including temporary optimization, has been developed.

Key words: algorithm, model, processor, compiler, graph.

 






Наступні 7 робіт по вашій темі:

ИНЖЕНЕРНО-ГЕОДЕЗИЧНИЙ MOHITOPИHГ ДЕФОРМАЦІЙНИХ ПРОЦЕСІВ НА ЕКОЛОГОНЕБЕЗПЕЧНИХ ТЕРИТОРІЯХ ТА IНЖEHEPHИX СПОРУДАХ - Автореферат - 18 Стр.
Антропологія східної патристики - Автореферат - 26 Стр.
ЕКОНОМІКО-МАТЕМАТИЧНЕ МОДЕЛЮВАННЯ І СИСТЕМНА ІНТЕГРАЦІЯ СХОВИЩ ДАНИХ БАНКІВСЬКОЇ ІНФОРМАЦІЇ - Автореферат - 23 Стр.
ФУНКЦIОНАЛЬНИЙ СТАН ВЕГЕТАТИВНОГО РЕГУЛЮВАННЯ I КАРДIОГЕМОДИНАМIКИ У ДIТЕЙ З ВЕГЕТО-СУДИННОЮ ДИСФУНКЦIЄЮ НА САНАТОРНО-КУРОРТНОМУ ЕТАПI РЕАБIЛIТАЦIЇ - Автореферат - 27 Стр.
ТЕОРЕТИЧНІ ОСНОВИ І МЕТОДИ РЕГУЛЮВАННЯ СУБГАРМОНІК НАПІВПРОВІДНИКОВИХ ПЕРЕТВОРЮВАЧІВ ЕЛЕКТРОЕНЕРГІЇ - Автореферат - 44 Стр.
ВОЛОКНИСТІ ТЕПЛОІЗОЛЯЦІЙНІ МАТЕРІАЛИ НА ОСНОВІ КОМПОЗИЦІЙ СИСТЕМИ Al2O3-SiO2-MgO-P2O - Автореферат - 21 Стр.
УДОСКОНАЛЕННЯ ТЕХНОЛОГІЇ БІСКВІТНИХ І ПІСОЧНИХ НАПІВФАБРИКАТІВ НА ОСНОВІ ЯЧМІННОГО БОРОШНА - Автореферат - 26 Стр.