У нас: 141825 рефератів
Щойно додані Реферати Тор 100
Скористайтеся пошуком, наприклад Реферат        Грубий пошук Точний пошук
Вхід в абонемент





ХАРКІВСЬКИЙ ДЕРЖАВНИЙ ТЕХНІЧНИЙ УНІВЕРСИТЕТ РАДІОЕЛЕКТРОНІКИ

ХАРКІВСЬКИЙ ДЕРЖАВНИЙ ТЕХНІЧНИЙ УНІВЕРСИТЕТ РАДІОЕЛЕКТРОНІКИ

 

МОНЖАРЕНКО ІРИНА ВІТАЛІЇВНА

УДК 681.32:519.713

СТРУКТУРНО-ФУНКЦІОНАЛЬНІ АЛГОРИТМИ

ПРОЕКТУВАННЯ ПРОЦЕДУР ДІАГНОСТУВАННЯ

ЦИФРОВИХ МОДУЛІВ

 

05.13.12 – системи автоматизації проектування

АВТОРЕФЕРАТ

дисертації на здобуття наукового ступеня

кандидата технічних наук

Харків 1998

Дисертацією є рукопис

 

Робота виконана в Харківському державному технічному університеті радіоелектроніки, Міністерство освіти України

Науковий керівник – доктор технічних наук, професор

Хаханов Володимир Іванович, Харківський державний технічний університет радіоелектроніки, професор

Офіційні опоненти: доктор технічних наук, професор

Загарий Генадiй Іванович, Харківська державна

академія залізничного транспорту, завідуючий кафедрою;

доктор технічних наук, професор Тупкало Вiталiй Mиколайович,

науковий центр “Евробізнес Тренінг Консалт”, директор

Провідна установа: Харківський державний політехнічний університет, кафедра автоматiки i телемеханiки, Міністерство освіти України, м. Харків

Захист відбудеться 26 листопада 1998 року в 14 годин на засіданні спеціалізованої вченої ради Д 64.052.02 при Харківському державному технічному університету радіоелектроніки за адресою: 310726, м. Харків, пр. Леніна, 14.

З дисертацією можна ознайомитись в бібліотеці Харківського державного технічного університету радіоелектроніки за адресою:

310726, м. Харків, пр. Леніна, 14.

Автореферат розісланий 22 жовтня 1998 року

Вчений секретар

спеціалізованої вченої ради Безкоровайний В.В.

ЗАГАЛЬНА ХАРАКТЕРИСТИКА РОБОТИЗАГАЛЬНА ХАРАКТЕРИСТИКА РОБОТИ

Актуальність теми. Розвиток сучасних систем автоматизації проектування в області обчислювальної техніки визначається не тільки могутніми програмно-апаратурними засобами розробки, але і якісно новим підхідом до створення гетерогенних компонентів на кристалі по HW/SW-технологіях (системи: COSIMA, POLIS, RASSP). Нарівні з нарощуванням потужностей апаратурного забезпечення станцій автоматизованого проектування, постійного оновлення парку універсальних CAD-систем подальший розвиток знаходять і спеціалізовані програмні засоби (SOLAR, PTOLEMY, Speedsim/3), орієнтовані на розв'язання сервісних задач, пов’язаних з діагностичним обслуговуванням виробу, що розробляється. Провідні фірми-проектувальники (CADENCE, SINOPSYS, MENTOR GRAPHICS) і виробники (IBM, HP, INTEL, MOTOROLA) не мають розбіжностей з приводу розв’язання проблеми створення діагностичного забезпечення об’єкта на стадії його проектування. Програмно-апаратурна надмірність, визначувана введенням у виріб средств, засобів тестування і відновлення працездатності, мінімально вимагає додаткових витрат у розмірі 25 %. Але споживачі практично завжди готові оплачувати послуги, що забезпечують якість та надійність обчислювальної техніки. Проте кошти автоматизації проектування ще далекі від досконалості у частині створення діагностичного забезпечення, що підтверджується невисокою глибиною діагностування дефектів (у несправної плати) при тестуванні сучасних цифрових виробів, що відмовили.

Задача підвищення глибини пошуку дефектів при мінімізації тимчасових і матеріальних витрат розглядається в комплексі проблем технічної діагностики, яка завдяки інтенсивному розвитку займає провідне місце серед фундаментальних технічних наук. Цьому сприяють праці вчених: П.П.Пархоменко, А.М.Романкевич, В.П.Чипуліс, Д.В.Сперанський, Р.Й.Шейнауськас, Л.В.Дербунович, В.П.Калявін, І.Ф.Клісторін, Р.Й.Убар, Ю.В.Малишенко, Скобцов Ю.А., С.Г.Шаршунов, А.Е.Таргамадзе, А.А.Гремальський, M.Breuer, A.Friedman, S.Thatte, J.Abraham, M.Abramovici, A.Parker, D.Agwaral, J.Hayes, Y.Levendel, P.Menon, S.Chappel, S.Szygenda, C.Robach, Y.Zorian, B.Courtois, T.Baker.

Враховуючи недосконалість засобів САПР при розв'язанні окремих задач, розробники створюють спеціалізовані програмні продукти, орієнтовані на ефективне розв’язання окремих питань в доповнення до CAD-системи, що використовується. Така постановка зумовлена доступністю форматів файлових структур систем проектування, що забезпечує їх відкритість і розширюваність.

Таким чином, для кваліфікованого користувача CAD-системи практично завжди існує можливість її вдосконалення і доробки.

Зв’язок роботи з науковими програмами, планами, темами. Реалізація результатів роботи здійснювалася відповідно до координаційних планів НАН України, Міністерства освіти:

1.13.5.6. “Розробити систему автоматизованого проектування діагностичного забезпечення мікропроцесорних радіоелектронних пристроїв”, координаційний план “Кібернетики” АН УССР і Мінвуза УРСР на 1986-1990 роки; “Програми науково-дослідних і організаційно-методичних робіт по інформаційно-методичному забезпеченню вищої освіти в умовах многоступенчатости”, наказ Мінвуза України № 68 від 31 марти 1992 р.; Держбюджетних НДР: 234-1 “Програмно-інформаційне, методичне забезпечення підготовки бакалавра”, 453-1 “Дослідження і розробка перспективних способів проектування і діагностика електронних систем із застосуванням їх в учбовому процесі по комп’ютерній інженерії”.

Об’єкт дослідження цифрові модулі, що включають компоненти високого ступеня інтеграції, з довільною структурно-функціональною організацією.

Мета дисертаційної роботи проектування структурно-функціональних алгоритмів діагностування цифрових модулів для відновлення працездатності пристроїв обчислювальної техніки на стадіях виробництва та експлуатації.

Для досягнення поставленої мети в роботі вирішені задачі:

– створення об’єднаних моделей незалежних логічних структур в рамках єдиного кубічного покриття (КП) за допомогою розширеного алфавіту кубічного числення;–

розробки алгоритму синхронного статичного логічного моделювання дефектів з метою аналізу якості тестів і побудови багатозначних таблиць елементах методами зворотного дослідження і половинного розподілу області, що підозрюється; –

розробки структури тестопригодного цифрового пристрою і методів його несправностей;–

проектування безумовних алгоритмів діагностування несправностей на основі використання багатозначних таблиць несправностей (БТН) і структури цифрового пристрою, що дозволяє на 30 % зменшити область дефектів, що підозрюються; –

проектування умовних алгоритмів контролю і пошуку дефектів, орієнтованих на виявлення макродефектів у функціональних та конструктивних безумовного діагностування із заданою дозволяючою здатністю на основі застосування БТН і введення апаратурної надмірності, що забезпечує незондове сканування істотних внутрішніх ліній.

При розв'язанні задач використані методи досліджень, засновані на математичних апаратах: булевої алгебри, теорії множин, кубічного числення, теорії автоматів і графів, технічної діагностики, логічного моделювання.

Наукову новизну визначають алгоритми безумовного і умовного діагностування, спроектовані на основі аналізу багатозначних таблиць несправностей і структурно-функціональних моделей цифрових об’єктів, що підвищують глибину діагностування несправностей і зменшуючі вартість реалізації діагностичного експерименту, яка включає:–

модель цифрових структур у вигляді кубічного покриття незалежних функціоналов для логічного аналізу і проектування багатозначних таблиць несправностей;–

алгоритм структурного аналізу багатозначних таблиць несправностей для безумовного діагностування логічних дефектів;–

алгоритм умовного діагностування макродефектов методом зворотного дослідження з використанням процедур структурного об’єднання і перекреслення;–

алгоритм умовного діагностування на основі аналізу багатозначних таблиць несправностей і структури цифрового модуля;–

SL-алгоритм діагностування тестопридатних цифрових структур на основі незондового сканування точок контролю.

У процесі розгляду згаданих вище питань отримані практичні результати, що мають значність, які виносяться на захист і вирішальні задачі:–

створення програмних засобів, реалізуючий алгоритми підготовки і проведення діагностичного експеримента з метою зменшення тимчасових і матеріальних витрат відновлення працездатності обчислювального пристрою на стадіях виробництва і експлуатації;–

веріфикация алгоритмів і моделей, впровадження практичних результатів, методики і програмні засоби в учбовий і технологічний процес з метою автоматизації проектування компонентів діагностичного забезпечення цифрових модулів;–

впровадження алгоритмічних реалізацій на підприємствах і використання програмних засобів в учбовому процесі університетів.

Достовірність і обгрунтованість теоретичних результатів підтверджується впровадженням і експлуатацією програмних засобів моделювання несправностей цифрових пристроїв, проектування умовних і безумовних алгоритмів пошуку дефектів, реалізацією модельних і натурних діагностичних експериментів над цифровими модулями, доказом ряду теорем.

Результати роботи у вигляді програмних засобів використовуються на підприємствах (АТ “Харенергоремонт”, міжнародного консорціуму “Енергозбереження”, АТ НДЇ РВ м.Харкова), а також в учбовому процесі ХТУРЕ, Харківського військового університету, Тернопільського державного університету.

Випробування результатів досліджень здійснювалося на: 4th int. Works of Workshop Mixed Design of Integrated Circuits and System”, 1997, Poznan, Poland; 3й міжнародної конференції “Теорія і практика передачі, прийому і обробки інформації”, 1997, Туапсе; міжнародної конференції по технічній діагностиці, 1997, Івано-Франківська; міжнародної конференції “Функціонально-орієнтовані обчислювальні системи”, 1993, Алушта; школі-семінарі “Мікропроцессорние системи зв’язку і керування на залізничному транспорті”, 1993, Алушта; восьмої міжнародної школі-семінарі “Перспективні системи керування на залізничному, промисловому і міському транспорті”, 1995, Алушта; міждержавному науково-технічному семінарі “Надійність, відмовостійкість і продуктивність інформаційних систем”, 1993, Туапсе; науково-технічних конференціях професорсько-викладацького складу ХТУРЕ (1995-1998).

Публікації. Результати наукових досліджень відображені в 13 друкованих наукових працях, в тому числі 1 монографія.

Структура і об’єм роботи. Дисертація містить 191 сторінку, 24 малюнки, 8 таблиць, об’єднаних в структуру, що включає: вступ, 5 розділів, 25 підрозділів, висновки, список використаних джерел з 132 найменувань, 3 додатки.

??????????I?????????? ?????? ??????????I?????????? ?????? ЗМІІІІІІІІIСІІІІІІІіТ РОБОТИ

Вступ містить обгрунтування актуальності проблеми, що вирішується, формулювання мети і об’єкта задач дослідження, сукупність наукових результатів, що виносяться на захист, відомості про їх випробування і практичну реалізацію.

Перший розділ являє собою аналіз розвитку основних наукових напрямів технічної діагностики, пов’язаних з створенням моделей цифрових обьектов; моделюванням несправностей і справної поведінки; проектуванням алгоритмів діагностування і проведенням діагностичного експеримента. З трьох основних форм опису дискретних об'єктов: аналітичної, графічної, табличної перевага віддана до останньої, яка зручна для сприйняття інформації людиною, технологична для машинного логічного аналізу, оскільки містить явні рішення задач прямої і зворотної імплікації. Єдиний недолік таблиць – розмірність усувається введенням надмірності у алфавіт опису станів змінних. Характеристики засобів моделювання несправностей і справної поведінки визначаються формою опису моделі дискретного об'єкта. Для отримання швидкодіючої системи використовуються компілятивні (аналітичні) моделі-програми, процес складання яких не піддається формалізації. Застосування інтерпретативних таблиць істотно зменшує швидкодію аналізу вхідних перевіряючих послідовностей, тому на користь доцільності проектування алгоритмів інтерпретативного моделювання повинні представлятися вагомі аргументи. Засоби організації і проведення діагностичного експеримента для пасивних цифрових пристроїв (ЦП), як правило, суміщають безумовні і умовні (зондові) алгоритми пошуку дефектів з обчисленням чергової точки контролю на основі аналізу результатів попередніх перевірок. При цьому глибина пошуку дефектів, число зондировань і швидкодія є суперечливими показниками системи діагностування. Частковий дозвіл згаданої суперечності можлив при внесенні надмірності в діагностичне забезпечення цифрового виробу, якій може служити: граф функціонально-гальванічних зв’язків, таблиця несправностей, постмоделювання результатів елементарних перевірок, логічний або фізичний розрив глобальних зворотних зв’язків.

Другий розділ містить елементи вдосконалення математичного апарату кубічного числення, як результату еволюції трьох гілок проектування діагностичної інформації (ДI): табличних способів представлення функцій примітивів, алгоритмів генерації тестів, методів моделювання несправностей і справної поведінки синхронних цифрових автоматів. Кожний із згаданих компонентів має тенденцію до розширення алфавіту опису станів автоматних змінних в двох сусідніх тактах. Для алгоритмів моделювання – підвищення адекватності аналізу логічних станів, технологичность обробки КП на основі процедури об'єднання перекреслювань, використання кубічного покриття як модель несправностей при оцінки якості тестів.

Концепція моделі ЦП визначається функціями переходів, виходів узагальненого модельного (УМ-) автомата W=<Х, Y, Z, f, g,>,

де X, Y, Z – множини вхідних, вихідних, внутрішніх змінних:

Z(t)=f[X(t-1), X(t), Y(t-1), Z(t-1)]; Y(t)=g[X(t-1), X(t), Z(t-1), Y(t-1)],

орієнтованого на розширення простору кодування станів з метою компактного запису таблиці переходів-виходів ЦП на форматі змінних [X(t-1), Y(t-1)] у вигляді кубічного покриття:

C = {C1, C2, ..., Ci, ..., Cm},

де Ci= {Ci1, Ci2, ..., Cij, ..., Cin}, Cij = {0, 1, X, Z}, X={0,1}.

Для запису таблиці переходів-виходів УМ-структури застосовується двофреймовый формат автоматних змінних пристрої, який використовує двотактний алфавіт кубічного числення:

A = {A0={G,T,K={G,T}}, A1= {{0, 1, X, Z}, X={0,1}}, Ax={Q=00, E=01, H=10, J=11, O={Q,H}, I={E,J}, A={Q,E}, B={H,J}, S={Q,J}, P={E,H}, C={E,H,J}, F={Q,H,J}, L={Q,E,J}, V={Q,E,H}, Y={Q,E,H,J}, U}}.

Процедура векторного об'єднання векторних перекреслювань

, (1)

(де R до виконання процедури очищується: "j(Rj=Ж); E – вектор початкових умов) є основою для розв'язання задач аналізу (прямої і зворотної імпликації) в кубічному численні, яке представляє апарат формування і перетворення векторного геометричного булевого простору з метрикою, що задається відстанню по Хеммінгу між двома векторами Сi, Сj, рівним числу пустих перекреслювань

Cit Зt=1,n Cjt = Ж, з виконанням умов:

1) d(Ci,Cj)=0, якщо і тільки якщо Ci =Cj;

2) d(Ci,Cj)=d(Cj,Ci);

3) d(Ci,Cj)+d(Cj,Cr) і d(Ci,Cr), для будь-яких {Ci,Cj,Cr}ОC;

4) d(Ci,Cj) і 0.

Процедура (1) використовується при моделюванні справної поведінки і несправностей ЦП, аналізі багатозначних таблиць несправностей в алгоритмах безумовного діагностуванні дефектів, визначенні несправної області в цифровому об’єкті при зондовому пошуку дефектів.

Теорема. Використання символа порожньої безлічі Z в доповнення до алфавиту {0,1, X} опису КП для визначення координат покриття не суперечить процедурі об’єднання перекреслювань.

Висновок 1. Символ Z в кубі Сi визначає неістотність вхідної СijX і/або вихідної СijY координати при формуванні відношення вхідних і вихідних змінних.

Висновок 2. Символ Z може бути використаний для запису системи незалежних функцій: [Y1=g(X1); Y2=g(X2);...; Yj=g(Xj);...; Yk=g(Xk)],

[("j,t=1, k; t№j)(Xj ЗXt=Ж)], на об’єднаній безлічі істотних змінних: (X1,X2,...,Xj,...,Xk, Y1,Y2,...,Yj,...,Yk) в форматі однієї таблиці. При цьому потужність об’єднаного КП визначається вираженням

carda Y = (s+Ski) x Smi, где i=1,s.

Таким чином мультипликативна оцінка розмірності покриття

cardm Y = (s+Ski) x Пmi.

структурно незалежних функцій завдяки Z стає аддитивний.

Порівняльний аналіз обсягів КП функціонально складних цифрових і мікропроцесорних структур без та при використанні символа Z представлений на рис. 1.

Тут же представлені уточнені процедури прямої імпликації для моделювання несправностей і справної поведінки цифрових модулів із застосуванням графової моделі цифрової структури, яка також задається у вигляді кубічного покриття. Це дає можливість використати раніше розроблені процедури аналізу і мінімізації кубічних покриттів для моделювання графових структур.

Третій розділ містить алгоритми проектування процедур діагностування дискретних об'єктів, що використовують структуру функціонально гальванічних зв’язків еквіпотенціальних ліній. Вони засновуються на аналізі багатозначних таблиць несправностей, координати якої задані в алфавіті {0,1,X={0,1},U=Ж}, яки являються результатом роботи засобів моделювання одиночных константних несправністей (ОКН). Мова йде про алгоритми моделювання несправностей одиночного константного типу D на тесті Т при заданій моделі справної поведінки F, які вирішують задачу, вигляду:

F*(F,T,D)фF,T=Иi (g(T,F) З g(T,F,Di))=Ж.

Для цього запропонований матричний алгоритм аналізу дефектів, що використовує теорему. Несправність Cij лінії прiмiтiвного елементу (ПЕ), визначуваний координатою куба покриття CiОC перевіряється двійковим вектором моделювання Е, якщо при його перетині з кубом Ci виконуються умови:

$!j (CijЗЕj=Ж) & $r (CYir ЗЕr=Ж) Ю (Dj=Dj И Cij) & (Dr=Dr И CYir),

де D = (D1, D2, ..., Dj, ..., Dm) – вектор несправностей, що перевіряються, СijО{0,1,X}. CYir – координата, що спостерігається, (j, r = 1, m).

Моделювання кратних дефектів для ПЕ засновується на аналізі структури ЦП, яка представлена у вигляді матриці досяжності-суміжності. Для кожної діагональної координати Mii, відміченої символом “-”, праворуч від неї будується вектор-рядок Mi, в якому значення Mij= “-”, якщо лінія j є наступною для змінної i. Зліва від Mii аналогічно відмічається координата Mig, якщо змінна g служить входом для елемента з виходом i. Матриця М = ||Мij|| формується після ранжування ліній і елементів, що є необхідним атрибутом препроцесора. Лінії, відмічені символом “-” праворуч від Mii, являють собою безліч наступників, зліва найближчих попередників, що є входами для ПЕ з номером i, зверху повна безліч попередників, знизу повна безліч найближчих наступників або виходи ПЕ, які мають входи з номером i. У процесі моделювання замість символа “-” заносяться {0,1,U}, які відповідають ідентифікаторам ОКН, що перевіряються.

БТН, отримані внаслідок моделювання несправностей, використовуються для їх структурного аналізу, де безлічі одиночних D’ і кратних константних дефектів D’’ обчислюються по виразах:

 

Алгоритм аналізу БТН із застосуванням структури об’єкта обліку, що моделюється впливу фактичного стану вихідних ліній, що спостерігаються, отриманих внаслідок виконання діагностичного експеримента, в середньому на 30% зменшує кількість дефектів, що підозрюються, що ілюструється рис. 2. Процедура орієнтована на пошук одиночних і кратних константних дефектів в цифрових пристроях довільної структурної і функціональної складності з прийнятними обсягами початкової діагностичної інформації для схем, що містять до 500 ліній і 256 входо-виходів.

Розділ 4 представлений алгоритмами умовного діагностування. які при наявності апаратурної надмірності можуть бути перетворені в безумовні, з допустимою дозволяючою здатністю. Інтерес представляють передусім алгоритми: 1) зворотного дослідження, 2) половинного розподілу, 3) SL-тестування. Перший заснований на застосуванні сигнатурного аналізу, коли за результатом поточної перевірки формується чергова точка контролю:

Два логічних виходи перевірки задають альтернативні шляхи пошуку дефекту або визначення технічного стану об’єкта:

де S= D0 И D; D+ И D- = D; D+ З D- =Ж, D0 – справний технічний стан; D область існування дефектів; D+ (D-) – область несправностей визначуваний перевіркою Р+ (Р-). Діагностична модель пристрою представлена графом функціонально-гальванічних зв’язків контактів мікросхем і цифрового пристрою G = {LijОL, FktrОF}, де Lij – (дуга) гальванічне відношення одного потенціалу між контактами різних конструктивов або примітивів; Ftr – (дуга або дуги Fktr k-го ПЕ) функціональні відносини між вхідний t та вихідний r змінної. Для побудови алгоритму діагностування необхідні: повний перевіряючий тест відносно одиночних константних несправностей Т, еталонні сигнатури Sэт всіх зовнішніх контактів мікросхем і тiпового елементу зміни (ТЕЗ), граф функціонально-гальванічних зв’язків FG цифрового модуля:W = {G, T, Sэт}. З метою наближення моделей несправностей до реальних введені типи станів об’єкта:D={D0, D1, D2, D3, D4}, справний стан: D0 Ь (g(T,F) З g*(T,F,Di) = g(T,F)); D1 – відсутність гальванічного зв’язку між контактами різних мікросхем: D1 Ь [Sэт (Li) = Sэкс(Li) & Sэт (Lj) № Sэкс(Lj)]; D2 – несправність на виході k-елемента або всередині нього: D2 Ь {"t[Sэт (Fkt) = Sэкс(Fkt)]& $r[Sэт (Fkr) № Sэкс(Fkr)]}; D3 – відсутність зв’язку між входом і шиною константи є0, є1: D3 Ь [Sэт ({є0, є1}) = Sэкс({є0, є1}) & Sэт (Lj = { є0, є1})№ Sэкс(Lj)]; D4 – несправність зовнішнього вхідного контакту ОДО:

D4 Ь [Sэт (Li) № Sэкс(Li) & Sэт (Lj) № Sэкс(Lj)].

Для зменшення числа зондировань на початковому етапі діагностування виконується стуктурний аналіз схеми відносно елементарних перевірок зовнішніх виходів: У припущенні наявності в схемі одиночного макродефекта, що характерно для об’єкта в період експлуатації, наявність несправності обмежується областю GЗ, що отримується перетином підсхем Gj, що відносяться до тих виходів, що спостерігаються LjY, на яких зафіксовані негативні перевірки:

GЗ = "j {ЗGj Ь [Sэт (LjY) № Sэкс(LjY)]}, (j=1,m)

де Gj – підграф функціонально-гальванічних зв’язків, які є попередниками для лінії LjY; m – число зовнішніх виходів схеми. З області, що підозрюється GЗ потрібно виключати лінії (підграфи), які мають логічні шляхи до виходів схеми, що спостерігаються з позитивним результатом випробування:

G = GЗ \"j {ИGj Ь [Sэт (LjY) = Sэкс(LjY)]}, (j=1,m).

При допущенні наявності кратних дефектів в схемі область існування несправностей рівна об’єднанню підсхем, що відносяться до несправних виходів, за винятком об’єднання підсхем, логічно пов’язаних з справними виходами:

G = "j {ИGj Ь [Sэт (LjY) № Sэкс(LjY)]}\"j {ИGj Ь [Sэт (LjY) = Sэкс(LjY)]}.

Середня оцінка відносного зменшення числа зондировань для встановлення діагнозу з використанням структурного аналізу для 9 оброблених модулів складає q= card(АОПб)/card(АОПс)=2,75.

Алгоритм половинного розподілу більш ефективний для послідовно-орієнтованих структур, на відміну від попереднього, що тяжіє до обробки паралельних. У його основі аналіз матриці досяжності з метою вибору чергової контрольної точки, що мінімізує функцію:

f = MAX|i [MIN (Ai, Bi)],

де Ai = card(Mij)| j =1,n ; Вi = card(Mji)| j =1,n ; Mij – рядок матриці досяжності M=||Mij||, який визначає вектори одиничних координат, що ідентифікують зв’язок (функціонально-гальванічну) точки контролю i, відповідної номеру стовбчика, з вершиною підграфу, визначуваний діагональною координатою Mii; Mji – стовбчик матриці досяжності M=||Mij||.

SL(Scan Line)-алгоритм діагностування для тестопридатної структури з використанням БТН і графа функціонально-гальванічних зв’язків еквипотенційних ліній. Апаратурна надмірність визначається наявністю мультіплексора, який має число інформаційних входів, рівного card(Xy)=(q-1)р, де р, q – число конструктивов, виходів, що спостерігаються. Кількість адресних входів, які є зовнішніми лініями схеми, що виходять на роз’єм одинаково card (Xs)= log2(q-1)р. Крім того, схема має вихід Zs для сканування стану внутрішніх ліній. Тестопридатність структури забезпечує технологичність генерування тестів, яка зводиться до побудови перевіряючих послідовностей для окремих функціональних елементів Fij без необхідності транспортування перевіряючих здібностей на зовнішні функціональні виходи цифрового модуля. При цьому проблема доставки тесту-сегмента до входів функціонального елемента залишається актуальною і вирішується відомими засобами. Враховуючи, що кількість елементів одинаково pq, довжина тесту в гіршому випадку визначається сумою довжин тесту-сегментів для кожного функціонального елемента:

card(T) = Scard(Tij), i=1,q; j=1,p.

Основні пункти SL-алгоритму представлені нижче.

1. Завдання по керуючих входах Xs режиму перевірки чергового функціонального модуля Fij, i=1, q; j=1, р.

2. Подача на функціональні входи (X1,. .., Xq) тесту-сегмента Tip, i=1, q, з метою перевірки несправностей заданого класу.

3. Визначення експериментальної реакції елемента Fij по виходу Zs і її порівняння з еталонними значеннями з подальшим формуванням матриці експериментальної перевірки:

Zr(Fij) Е Tr (Fij) = Vr(Fij) [r =1,n(Fij)],

де r – параметр тесту-вектора, n(Fij) – довжина тесту-сегмента для перевірки примітива n(Fij), Tr (Fij) і Zr(Fij) – еталонні і експериментальні реакції на виході елемента (Fij), Vr(Fij) – вектор стовпець матриці експериментальної перевірки для виходу примітива Fij.

4. Виконання пунктів 1-3 реалізовується для всіх примітивів цифрового модуля, після чого здійснюється структурний аналіз БТН по отриманій матриці еспериментальної перевірки V з метою визначення безлічі одиночних або кратних константних несправностей, локалізованих в межах кожного конструктивного елемента.

Перевага процедури діагностування тестопридатного проекту полягає в безумовному пошуку одиночних і кратних дефектів, що спотворюють функціонування цифрового модуля, з дозволяючою здатністю, визначуваний конструктивним елементом заміни.

Розділ 5 описує інструментарій системи діагностичного обслуговування цифрових модулів, що включає програмні засоби проектування моделей дискретних об'єктов і їх компонентів, моделювання несправностей і справної поведінки, побудови алгоритмів пошуку дефектів. Відмітні особливості коштів проектування: орієнтація на рішення практичних задач діагностичного обслуговування цифрових об'єктов на етапі їх створення; висока технологичність розроблених алгоритмів, безперервна модифікація і поповнення операційних і керуючих засобів. Існуюча версія програмного забезпечення становить 3000 рядків мов асемблера і Паскаль, об’єднаних в 17 програмних модулів. Операційна середа МS DOS і WINDOWS. Необхідні ресурси стандартні.

ВИСНОВКИ ВИСНОВКИ

Результатом дисертаційной роботи потрібно вважати розв'язання задачі зменшення тимчасових і матеріальних витрат діагностування несправностей цифрових модулів з дозволяючою здатністю до функціонального елемента або конструктива завдяки розробці і застосуванню алгоритмів умовного і безумовного діагностування на основі побудови багатозначних таблиць несправностей, використання структури взаємопов’язаних компонентів схеми, введення надмірності.

Для досягнення результату в процесі роботи над дисертацією були вирішені наукові і практичні задачі:–

створення моделі цифрових структур у вигляді покриття незалежних функціоналів на основі розширеного алфавіта кубічного числення для логічного аналізу і проектування багатозначних таблиць несправностей;–

розробки алгоритму синхронного собитійного багатозначного моделювання дефектів з метою аналізу якості тесту і побудови багатозначних таблиць несправностей;–

проектування безумовних алгоритмів діагностування несправностей на основі використання БТН і структури цифрового пристрою, що дозволяє на 30 % зменшити область дефектів, що підозрюються; –

проектування умовних алгоритмів контролю і пошуку дефектів, орієнтованих на виявлення макродефектів в функціональних і конструктивних елементах методами зворотного дослідження і половинного розподілу області, що підозрюється; –

розробки структури тестопридатного цифрового пристрою і методів його безумовного діагностування із заданою дозволяючою здатністю на основі застосування БТН і введення апаратурної надмірності, що забезпечує незондове сканування істотних внутрішніх ліній;–

створення програмних засобів, реалізуючий згадані алгоритми підготовки і проведення діагностичного експеримента з метою зменшення тимчасових і матеріальних витрат відновлення працездатності обчислювального пристрою на стадіях виробництва і експлуатації;–

веріфикація алгоритмів і моделей, впровадження практичних результатів, методики і програмні засоби в учбовий і технологічний процес з метою автоматизації проектування компонентів діагностичного забезпечення цифрових модулів.

СПИСОК ОПУБЛIІКОВАНИХ ПРІАЦЬ ЗА ТЕМОЮ ДИСЕРТАЦIЇСПИСОК ОПУБЛIІКОВАНИХ ПРІАЦЬ ЗА ТЕМОЮ ДИСЕРТАЦIЇ

1. Контроль и диагностика вычислительных устройств и систем / Хаханов В.И., Кривуля Г.Ф., Рысованый А.Н., Монжаренко И.В./ Под ред. В.И. Хаханова/ Харьков: ХВУ, 1997.– 304 с.

2. Хаханов В.И., Монжаренко И.В., Бедратый Р.А. Проектирование оптимизированных алгоритмов диагностирования устройств вычислительной техники // Радиоэлектроника и информатика.– 1997.– № 1.-– С. 88-91.

3. Хаханов В.И., Монжаренко И.В., Бережная М.А. Диагностирование одиночных и кратных неисправностей в цифровых устройствах// АСУ и приборы автоматики.– Х.: ХТУРЭ.– 1997.– Вып. 104.-– С. 17-28.

4. Хаханов В.И., Ханько В.В., Бедратый Р.В., Монжаренко И.В. Структурный анализ многозначных таблиц неисправностей для диагностирования цифровых устройств// АСУ и приборы автоматики.– Х.: ХТУРЭ.– 1998.– Вып. 107.– С. 12-17.

5. Хаханов В.И., Кривуля Г.Ф., Монжаренко И.В. Кубическое исчисление для анализа автоматов// Информатика, кибернетика и вычислительная техника.– Донецк: ДонГУ, 1997.– Вып. 1.– С. 159-164.

6. Хаханов В.И., Монжаренко И.В., Бережная М.А. Двухтактное кубическое исчисление. II. Анализ моделей цифровых устройств// АСУ и приборы автоматики.– Х.: ХТУРЭ.– 1997.– Вып. 106.– С. 93-105.

7. Hahanov V., Krivoulja G., Monzharenko I. Two-Frames Cubical Calculus for Modeling and Simulation of Digital Circuits. 4-th int. Works of Workshop "Mixed Design of Integrated Circuits and System". Poznan, Poland. 12-14 June 1997. P. 195-199.

8. Хаханов В.И., Монжаренко И.В., Коваленко С.Н. Применение таблиц неисправностей для диагностирования вычислительных устройств. Тез. докл. 3 междунар. конф. "Теория и практика передачи, приема и обработки информации". 16-18 сент.– 1997.– ХТУРЭ Харьков – Туапсе. С. 336-337.

9. Хаханов В.И., Кривуля Г.Ф., Монжаренко И.В. Структурный анализ многозначных таблиц неисправностей для диагностирования цифровых устройств. Тез. докл. междунар. конф.– 1997.– Ивано-Франковск.– С.76-77.

10. Хаханов В.И., Монжаренко И.В., Максимова Н.Г. Зондовое диагностирование и анализ таблицы исправного поведения. Тез. докл. международн. научн. -техн. конф. "Функционально-ориентированные вычислительные системы".– Киев, Харьков, Алушта.– Х.: ХПИ.–1993.– С.33-34.

11. Хаханов В.И., Монжаренко И.В. Диагностирование неисправностей по реакциям выходов объекта.–Тез. докл. школы-семинара.– Алушта.– 1993.– Х.:ХИИТ.–1993.– С. 39-40.

12. Хаханов В.И., Монжаренко И.В. Ковалев Е.В. Система компьютерного моделирования цифровых и МП-структур. Материалы 8-й Международной школы семинара "Перспективные системы управления на железнодорожном, промышленном и городском транспорте.– Алушта.–1995.– Х: ХарГАЖТ.–1995.– С. 26.

13. Хаханов В.И., Бендиков А.В., Монжаренко И.В. Диагностирование технического состояния обьекта по реакциям его выходов. Тез. докл. межгосуд. научн.–техн. семинара "Надежность, отказоустойчивость и производительность информационных систем".– Туапсе.– Краснодар: НТО РЭС.–1993.–С.38.

Особистий внесок. У публікаціях, написаних в співавторстві, дисертанту належать: кубічні моделі опису структур незалежних функцій, алгоритм моделювання несправностей, умовні і безумовні алгоритми контролю і пошуку дефектів, процедури діагностування тестопридатних структур з введенням надмірності.

АНОТАЦIІЯ АНОТАЦIІЯ

Монжаренко I.В. Структурно-функціональні алгоритми проектування процедур діагностування цифрових модулів. Рукопис.

Дисертація на здобуття наукового ступеня кандидата технічних наук по спеціальності 05.13.12 – системи автоматизації проектування. – Харківський державний технічний університет радіоелектроніки, Харків, 1998.

Дисертація присвячена питанням проектування алгоритмів аналізу цифрових структур для побудови безумовних і умовних процедур діагностування. Для мінімізації матеріальних і тимчасових витрат відновлення працездатності цифрових об’єктів спільно використовуються алгоритми безумовного і умовного діагностування несправностей. Базовою інформацією є багатозначні таблиці несправностей, структура об’єкта діагностування, еталонні сигнатури. Запропоновані алгоритми реалізовані у вигляді програмних засобів проектування багатозначних таблиць несправностей, умовних і безумовних процедур діагностування константних несправностей і макродефектів.

Ключові слова: автоматизоване проектування, логічне моделювання, алгоритм діагностування, цифровий модуль.

АННОТАЦИЯАННОТАЦИЯ

Монжаренко И.В. Структурно-функциональные алгоритмы проектирования процедур диагностирования цифровых модулей.– Рукопись.

Диссертация на соискание ученой степени кандидата технических наук по специальности 05.13.12 – системы автоматизации проектирования.– Харьковский государственный технический университет радиоэлектроники, Харьков, 1998.

Диссертация посвящена вопросам проектирования алгоритмов анализа цифровых структур для построения безусловных и условных процедур диагностирования. Для минимизации материальных и временных затрат восстановления работоспособности цифровых объектов совместно используются алгоритмы безусловного и условного диагностирования неисправностей. В качестве исходной информации служат многозначные таблицы неисправностей, структура объекта диагностирования, эталонные сигнатуры. Предложенные алгоритмы реализованы в виде программных средств проектирования многозначных таблиц неисправностей, условных и безусловных процедур диагностирования константных неисправностей и макродефектов.

Ключевые слова: автоматизированное проектирование, логическое моделирование, алгоритм диагностирования, цифровой модуль.

ABSTRACTABSTRACT

Мonzharenko I.V. Structurally-functional designing algorithms for diagnosing procedures of digital units. – Manuscript.

Dissertation on competition learned candidate degree of technical sciences on speciality 05.13.12 – designing automation system.– Kharkov state technical radio electronics university, Kharkov, 1998.

Dissertation sacred to analysis algorithms designing questions of digital structures for construction of absolute and conditional procedures of diagnosing. For minimization of finantial and temporal expenditures of capacity reneval of digital objects jointly use algorithms of absolute and conditional diagnosing of disrepairs. As initial information serve ambiguons disrepairs tables, diagnosing object structure, standard signature. The Offered algorithms realized in appearance of program designing funds of ambiguons disrepairs tables, conditional and absolute procedures of diagnosing of constant disrepairs and macrofaults.

Key words: automated designing, logical design, diagnosing algorithm, digital units.






Наступні 7 робіт по вашій темі:

НЕПСИХОТИЧНІ ФОРМИ ПОРУШЕНЬ ПСИХІЧНОЇ СФЕРИ У ХВОРИХ НА ХРОНІЧНІ СОМАТИЧНІ ЗАХВОРЮВАННЯ ( клініка, систематика, критерії діагностики та принципи терапії ) - Автореферат - 40 Стр.
Поліпшення характеристик бортових систем живлення електрорухомого складу - Автореферат - 27 Стр.
ВПЛИВ АНТИОКСИДАНТНИХ ПРЕПАРАТІВ НА ОБМІН РЕЧОВИН, ПЕРЕТРАВНІСТЬ КОРМІВ ТА ПРОДУКТИВНІСТЬ КАЧОК - Автореферат - 26 Стр.
Договір щодо оплатного надання медичних послуг - Автореферат - 23 Стр.
ОБГРУНТУВАННЯ ПАРАМЕТРІВ ПРОЦЕСУ ЗМИВУ ПРОДУКТІВ ЗБАГАЧЕННЯ З ОДНОЧАСНИМ ОЧИЩЕННЯМ ОБОРОТНОЇ ВОДИ - Автореферат - 25 Стр.
ВИЗНАЧЕННЯ РАЦІОНАЛЬНИХ ПАРАМЕТРІВ КОМБІНОВАНОЇ ПОДАЧІ ВОГНЕГАСНИХ РЕЧОВИН ДЛЯ ПІДВИЩЕННЯ ЕФЕКТИВНОСТІ ПОЖЕЖОГАСІННЯ - Автореферат - 26 Стр.
Товарознавча оцінка кремнійорганічних покриттів, модифікованих поліакрилатами - Автореферат - 24 Стр.